pcb-stator-coil-generator/coil.ipynb
2022-10-04 18:43:35 +01:00

399 lines
221 KiB
Text

{
"cells": [
{
"cell_type": "code",
"execution_count": 110,
"metadata": {},
"outputs": [],
"source": [
"import pandas as pd\n",
"import numpy as np\n",
"import matplotlib as plt\n",
"import scipy\n",
"from skspatial.objects import LineSegment\n",
"from enum import Enum"
]
},
{
"cell_type": "code",
"execution_count": 111,
"metadata": {},
"outputs": [],
"source": [
"VIA_DIAM = 0.8\n",
"VIA_DRILL = 0.4\n",
"STATOR_HOLE_RADIUS = 4\n",
"TRACK_WIDTH = 0.2\n",
"TRACK_SPACING = 0.2\n",
"TURNS = 9\n",
"STATOR_RADIUS = 20\n",
"Layer = Enum('Layer', 'FRONT BACK')"
]
},
{
"cell_type": "code",
"execution_count": 112,
"metadata": {},
"outputs": [],
"source": [
"# create a square shape\n",
"# template = [(-1, -1), (1, -1), (1, 1), (-1 , 1)]\n",
"# create a triangle\n",
"template = [[-1.5, 0], (1, -1.4), (1, 1.4)]\n",
"# interpolate the shape using numpy\n",
"\n",
"# create a circle template\n",
"# template = [(np.cos(theta), np.sin(theta)) for theta in np.linspace(0, 2*np.pi, 36)]\n",
"\n"
]
},
{
"cell_type": "code",
"execution_count": 113,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"[<matplotlib.lines.Line2D at 0x292a54040>]"
]
},
"execution_count": 113,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"# plot the template shape wrapping around to the first point\n",
"plt.pyplot.plot([x for x, y in template] + [template[0][0]], [y for x, y in template] + [template[0][1]])"
]
},
{
"cell_type": "code",
"execution_count": 114,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"[<matplotlib.lines.Line2D at 0x17f820af0>]"
]
},
"execution_count": 114,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"# apply Chaikin's algorithm to the template - corner cuttine\n",
"def chaikin(arr, iterations):\n",
" if iterations == 0:\n",
" return arr\n",
" l = len(arr)\n",
" smoothed = []\n",
" for i in range(l):\n",
" x1, y1 = arr[i]\n",
" x2, y2 = arr[(i+1)%l]\n",
" smoothed.append([0.9*x1 + 0.1*x2,0.9*y1 + 0.1*y2])\n",
" smoothed.append([0.1*x1 + 0.9*x2,0.1*y1 + 0.9*y2])\n",
" return chaikin(smoothed, iterations - 1)\n",
"\n",
"template = chaikin(template, 2)\n",
"plt.pyplot.plot([x for x, y in template] + [template[0][0]], [y for x, y in template] + [template[0][1]]) "
]
},
{
"cell_type": "code",
"execution_count": 115,
"metadata": {},
"outputs": [],
"source": [
"# this speeds things up dramatically as we only have to compute the line intersections once\n",
"# there are probably much faster ways of doing this - we're just doing a brute force search\n",
"# for the intersections - consult algorithms from games for inspiration...\n",
"def get_template_point_cache(template):\n",
" # sweep a line from the origin through 360 degress times the number of turns in 1 degree increments\n",
" # and find the intersection points with the template shape\n",
" cache = {}\n",
" for angle in np.arange(0, 360, 5):\n",
" line = LineSegment(np.array([0, 0]), np.array([1000 * np.cos(np.deg2rad(angle)), 1000 * np.sin(np.deg2rad(angle))]))\n",
" for i in range(len(template)):\n",
" segment = LineSegment(np.array(template[i]), np.array(template[(i + 1) % len(template)]))\n",
" try:\n",
" intersection = line.intersect_line_segment(segment)\n",
" if intersection is not None:\n",
" cache[angle] = (intersection, segment)\n",
" except ValueError:\n",
" pass\n",
" return cache\n",
"\n",
"# get the points in a coil shape\n",
"# Use reverse for bottom layer (basically flips the y coordinate so that the coil goes in the opposite direction)\n",
"# Also rotates the endpoints by 90 degress so that the exit point on the bottom layer is to the left hand side\n",
"def get_points(template, turns, spacing, layer=Layer.FRONT, cache = None):\n",
" if cache is None:\n",
" cache = get_template_point_cache(template)\n",
" points = []\n",
" for angle in np.arange(0, 360 * turns, 5):\n",
" offset = spacing * angle / 360\n",
" if layer == Layer.BACK:\n",
" angle = angle + 180\n",
" intersection, segment = cache[angle % 360]\n",
" vector = np.array(segment.point_a) - np.array(segment.point_b)\n",
" normal = vector / np.linalg.norm(vector)\n",
" # rotate the vector 90 degrees\n",
" normal = np.array([-normal[1], normal[0]])\n",
" # move the intersection point along the normal vector by the spacing\n",
" coil_point = intersection + normal * offset\n",
" if layer == Layer.BACK:\n",
" points.append((coil_point[0], -coil_point[1]))\n",
" else:\n",
" points.append(coil_point)\n",
" return points\n"
]
},
{
"cell_type": "code",
"execution_count": 116,
"metadata": {},
"outputs": [],
"source": [
"cache = get_template_point_cache(template)"
]
},
{
"cell_type": "code",
"execution_count": 117,
"metadata": {},
"outputs": [
{
"data": {
"text/plain": [
"(4.691111111111111, 14.908888888888889, -4.82499131160701, 4.702749879705302)"
]
},
"execution_count": 117,
"metadata": {},
"output_type": "execute_result"
},
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
},
{
"data": {
"image/png": "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",
"text/plain": [
"<Figure size 640x480 with 1 Axes>"
]
},
"metadata": {},
"output_type": "display_data"
}
],
"source": [
"points_f = [(0,0)] + get_points(template, TURNS, TRACK_SPACING+TRACK_WIDTH, Layer.FRONT, cache) \n",
"points_b = [(0,0)] + get_points(template, TURNS, TRACK_SPACING+TRACK_WIDTH, Layer.BACK, cache)\n",
"\n",
"COIL_CENTER_RADIUS = STATOR_RADIUS/2\n",
"\n",
"angle_A = 0\n",
"angle_B = 120\n",
"angle_C = 240\n",
"\n",
"# roate the points by the required angle\n",
"def rotate(points, angle):\n",
" return [[x * np.cos(np.deg2rad(angle)) - y * np.sin(np.deg2rad(angle)), x * np.sin(np.deg2rad(angle)) + y * np.cos(np.deg2rad(angle))] for x, y in points]\n",
"\n",
"# move the points out to the distance at the requited angle\n",
"def translate(points, distance, angle):\n",
" return [[x + distance * np.cos(np.deg2rad(angle)), y + distance * np.sin(np.deg2rad(angle))] for x, y in points]\n",
"\n",
"# flip the y coordinate\n",
"def flip(points):\n",
" return [[x, -y] for x, y in points]\n",
"\n",
"# the main coils\n",
"coil_A_f = translate(rotate(points_f, angle_A), COIL_CENTER_RADIUS, angle_A)\n",
"coil_A_b = translate(rotate(points_b, angle_A), COIL_CENTER_RADIUS, angle_A)\n",
"\n",
"coil_B_f = translate(rotate(points_f, angle_B), COIL_CENTER_RADIUS, angle_B)\n",
"coil_B_b = translate(rotate(points_b, angle_B), COIL_CENTER_RADIUS, angle_B)\n",
"\n",
"coil_C_f = translate(rotate(points_f, angle_C), COIL_CENTER_RADIUS, angle_C)\n",
"coil_C_b = translate(rotate(points_b, angle_C), COIL_CENTER_RADIUS, angle_C)\n",
"\n",
"# the opposite coils - for more power!\n",
"angle_A_opp = angle_A + 180\n",
"angle_B_opp = angle_B + 180\n",
"angle_C_opp = angle_C + 180\n",
"\n",
"coil_A_opp_f = translate(\n",
" rotate(\n",
" flip(points_f),\n",
" angle_A_opp\n",
" ), \n",
" COIL_CENTER_RADIUS, \n",
" angle_A_opp)\n",
"coil_A_opp_b = translate(\n",
" rotate(\n",
" flip(points_b), \n",
" angle_A_opp\n",
" ), \n",
" COIL_CENTER_RADIUS,\n",
" angle_A_opp)\n",
"\n",
"coil_B_opp_f = translate(\n",
" rotate(\n",
" flip(points_f),\n",
" angle_B_opp\n",
" ), COIL_CENTER_RADIUS, angle_B_opp)\n",
"coil_B_opp_b = translate(rotate(flip(points_b), angle_B_opp), COIL_CENTER_RADIUS, angle_B_opp)\n",
"\n",
"coil_C_opp_f = translate(rotate(flip(points_f), angle_C_opp), COIL_CENTER_RADIUS, angle_C_opp)\n",
"coil_C_opp_b = translate(rotate(flip(points_b), angle_C_opp), COIL_CENTER_RADIUS, angle_C_opp)\n",
"\n",
"\n",
"# dump out the results to json\n",
"json_result = {\n",
" \"parameters\": {\n",
" \"trackWidth\": TRACK_WIDTH,\n",
" \"statorHoleRadius\": STATOR_HOLE_RADIUS,\n",
" \"viaDiameter\": VIA_DIAM,\n",
" \"viaDrillDiameter\": VIA_DRILL\n",
"\n",
" },\n",
" \"vias\": [\n",
" { \"x\": COIL_CENTER_RADIUS*np.cos(np.deg2rad(angle_A)), \"y\": COIL_CENTER_RADIUS*np.sin(np.deg2rad(angle_A)) },\n",
" { \"x\": COIL_CENTER_RADIUS*np.cos(np.deg2rad(angle_B)), \"y\": COIL_CENTER_RADIUS*np.sin(np.deg2rad(angle_B)) },\n",
" { \"x\": COIL_CENTER_RADIUS*np.cos(np.deg2rad(angle_C)), \"y\": COIL_CENTER_RADIUS*np.sin(np.deg2rad(angle_C)) }\n",
" ],\n",
" \"silk\": [\n",
" { \"x\": COIL_CENTER_RADIUS*np.cos(np.deg2rad(angle_A)), \"y\": COIL_CENTER_RADIUS*np.sin(np.deg2rad(angle_A)), \"text\": \"A\" },\n",
" { \"x\": COIL_CENTER_RADIUS*np.cos(np.deg2rad(angle_B)), \"y\": COIL_CENTER_RADIUS*np.sin(np.deg2rad(angle_B)), \"text\": \"B\" },\n",
" { \"x\": COIL_CENTER_RADIUS*np.cos(np.deg2rad(angle_C)), \"y\": COIL_CENTER_RADIUS*np.sin(np.deg2rad(angle_C)), \"text\": \"C\" }\n",
" ],\n",
" \"tracks\": [\n",
" {\n",
" \"name\": \"Coil A\",\n",
" \"net\": \"A\",\n",
" \"f\": [{\"x\": x, \"y\": y} for x, y in coil_A_f],\n",
" \"b\": [{\"x\": x, \"y\": y} for x, y in coil_A_b],\n",
" },\n",
" {\n",
" \"name\": \"Coil A Opposite\",\n",
" \"net\": \"A\",\n",
" \"f\": [{\"x\": x, \"y\": y} for x, y in coil_A_opp_f],\n",
" \"b\": [{\"x\": x, \"y\": y} for x, y in coil_A_opp_b],\n",
" },\n",
" {\n",
" \"name\": \"Coil B\",\n",
" \"net\": \"B\",\n",
" \"f\": [{\"x\": x, \"y\": y} for x, y in coil_B_f],\n",
" \"b\": [{\"x\": x, \"y\": y} for x, y in coil_B_b],\n",
" },\n",
" {\n",
" \"name\": \"Coild B Opposite\",\n",
" \"net\": \"B\",\n",
" \"f\": [{\"x\": x, \"y\": y} for x, y in coil_B_opp_f],\n",
" \"b\": [{\"x\": x, \"y\": y} for x, y in coil_B_opp_b],\n",
" },\n",
" {\n",
" \"name\": \"Coil C\",\n",
" \"net\": \"C\",\n",
" \"f\": [{\"x\": x, \"y\": y} for x, y in coil_C_f],\n",
" \"b\": [{\"x\": x, \"y\": y} for x, y in coil_C_b],\n",
" },\n",
" {\n",
" \"name\": \"Coil C Opposite\",\n",
" \"net\": \"C\",\n",
" \"f\": [{\"x\": x, \"y\": y} for x, y in coil_C_opp_f],\n",
" \"b\": [{\"x\": x, \"y\": y} for x, y in coil_C_opp_b],\n",
" }\n",
" ]\n",
"}\n",
"\n",
"import json\n",
"json.dump(json_result, open(\"coil.json\", \"w\"))\n",
"\n",
"\n",
"df = pd.DataFrame(coil_A_f, columns=['x', 'y'])\n",
"ax = df.plot.line(x='x', y='y', label='Coil A', color='blue')\n",
"ax.axis('equal')\n",
"df = pd.DataFrame(coil_A_b, columns=['x', 'y'])\n",
"ax = df.plot.line(x='x', y='y', label='Coil B', color='green')\n",
"ax.axis('equal')\n",
"\n",
"# plot all three coils on the same graph\n",
"# df = pd.DataFrame(coil_A, columns=['x', 'y'])\n",
"# ax = df.plot.line(x='x', y='y', label='Coil A', color='blue')\n",
"# ax.axis('equal')\n",
"# df = pd.DataFrame(coil_B, columns=['x', 'y'])\n",
"# df.plot.line(x='x', y='y', ax=ax, label='Coil B', color='green')\n",
"# df = pd.DataFrame(coil_C, columns=['x', 'y'])\n",
"# df.plot.line(x='x', y='y', ax=ax, label='Coil C', color='red')\n",
"\n",
"# df = pd.DataFrame(coil_A_opposite, columns=['x', 'y'])\n",
"# df.plot.line(x='x', y='y', ax=ax, label='Coil A Opposite', color='blue')\n",
"# df = pd.DataFrame(coil_B_opposite, columns=['x', 'y'])\n",
"# df.plot.line(x='x', y='y', ax=ax, label='Coil B Opposite', color='green')\n",
"# df = pd.DataFrame(coil_C_opposite, columns=['x', 'y'])\n",
"# df.plot.line(x='x', y='y', ax=ax, label='Coil C Opposite', color='red')\n",
"\n"
]
}
],
"metadata": {
"kernelspec": {
"display_name": "Python 3.10.7 ('venv': venv)",
"language": "python",
"name": "python3"
},
"language_info": {
"codemirror_mode": {
"name": "ipython",
"version": 3
},
"file_extension": ".py",
"mimetype": "text/x-python",
"name": "python",
"nbconvert_exporter": "python",
"pygments_lexer": "ipython3",
"version": "3.10.7"
},
"orig_nbformat": 4,
"vscode": {
"interpreter": {
"hash": "1ce20143987840b9786ebb5907032c9c3a8efacbb887dbb0ebc4934f2ad26cb3"
}
}
},
"nbformat": 4,
"nbformat_minor": 2
}